输出变量-VAR_OUTPUT

功能和路径中的输出变量:

根据IEC 61131-3草案2, 功能(和路径)可以有其他的输出,这些输出必须在函数调用中做如下分配:

例子:

fun(iIn1 := 1, iIn2 := 2, iOut1 => iLoc1, iOut2 => iLoc2);